Japanese companies are likely to continue dominating the photoresist market

The technological evolution of logic semiconductors has been complex, and the equity markets have not yet fully factored in the implications, in our view. We have created a proprietary semiconductor photolithography roadmap in collaboration with an UBS exclusive semiconductor consultant who holds multiple patents related to devices, materials, and systems. Japanese companies currently dominate market share in this niche industry, and given the relative lack of investments from global competitors so far, we expect them to maintain a technological advantage at least until 2030. Based on stable market shares in the photoresist industry in the past few years and on our recent discussions with investors and chemical company representatives, the equity market consensus appears to be that the stronghold of Japanese chemicals companies – at present forming an oligopoly in the photoresist market – will not be easily assailed. We expect the easing of risk sentiment towards semiconductor volumes to act as a stock price catalyst.

Photoresists demand likely to outpace silicon wafers trends

We forecast the photoresist market will grow at a CAGR of +14% to around ¥427.7bn by 2026. The increasing complexity of lithography processes is likely to make a substantial contribution to growing demand for photoresists, which could outpace the silicon wafer market growth that we forecast at a CAGR of +5% in terms of area.

Higher demand for extreme ultraviolet (EUV) resists fuelled by evolution of logic semiconductors

Trends in EUV resists, which sell at high unit prices and for which demand looks set to increase because of ongoing miniaturisation, are particularly important. According to our proprietary lithography roadmap, the number of lithography layers using EUV resists is likely to increase from 5 in the 5nm generation (of 11 layers involving lithography) to 8 (34 layers) in the 2nm generation. Because technological roadmaps for the 1.Xnm generation and beyond remain undecided at various industry companies, precise projections are impossible at present. However, we point out that processes involving EUV lithography may increase to as many as 57 in the 1.0eq nm generation (from 2030 or beyond). In the medium to long term, it is necessary to pay attention to trends in metal-oxide resists.


Explore other articles you may find interesting